Encounter Conformal Low Power

品牌:Cadence
描述:Enables the creation and validation of power intent in context of the design. Combines low-power equ
包装:
封装:
无铅情况/ROHS: 有铅
类别:逻辑设计
经营商:科通芯城自营


Optimizing designs for leakage and dynamic power helps designers reduce energy consumption and packaging costs. But these advanced low-power design methods also complicate the verification task, introducing risk during synthesis and physical implementation. Full-chip, gate-level simulation is not a practical or scalable methodology for verifying today’s large, complex designs. Encounter® Conformal® Low Power enables designers to create power intent, then verify and debug multimillion-gate designs optimized for low power, without simulating test vectors. It combines low-power structural and functional checks with world-class equivalence checking to provide superior performance, capacity, and ease of use.

Features/Benefits
  • Reduces the risk of silicon re-spins by providing complete verification coverage
  • Detects low-power implementation errors early in the design cycle
  • Verifies multimillion-gate designs much faster than traditional gate-level simulation
  • Closes the RTL-to-layout verification gap using low-power equivalence checking
  • Decreases the risk of missing critical bugs through independent verification technology
  • Enables power intent creation and integration, without having to become a power format expert

文档(Document)

序号 PDF 描述
1 Building Energy-Efficient ICs from the Ground Up White Paper     Building Energy-Efficient ICs from the Ground Up White Paper
2 Cadence and Fujitsu Success Story     Cadence and Fujitsu Success Story
3 Cadence Encounter Digital IC Design Demo: Necessary and Absolute Signoff Analysis for 65/45nm Design     Cadence Encounter Digital IC Design Demo: Necessary and Absolute Signoff Analysis for 65/45nm Design
4 Cadence Encounter Digital IC Design Platform Brochure     Cadence Encounter Digital IC Design Platform Brochure
5 Cadence Low-Power Solution Demo     Cadence Low-Power Solution Demo
6 Common Platform Datasheet for Cadence 65nm Low-Power Reference Flow     Common Platform Datasheet for Cadence 65nm Low-Power Reference Flow
7 Designing Lean, Green Silicon Machines Position Paper     Designing Lean, Green Silicon Machines Position Paper
8 Encounter Conformal Low Power Datasheet     Encounter Conformal Low Power Datasheet
9 Fujitsu’s CPF Based Low Power Design Status and Today’s Power Format Conference Paper     Fujitsu’s CPF Based Low Power Design Status and Today’s Power Format Conference Paper
10 Functional ECO with Conformal Technology     Functional ECO with Conformal Technology
11 Low-Power Verification Flow to Ease the Pain of Implementing MTCMOS-based MSMV Wireless Designs     Low-Power Verification Flow to Ease the Pain of Implementing MTCMOS-based MSMV Wireless Designs
12 Practical Guide to Low-Power Design - User Experience with CPF     Practical Guide to Low-Power Design - User Experience with CPF
13 Static Verification for Design Reuse and Quality     Static Verification for Design Reuse and Quality

深圳市科通技术股份有限公司    客服电话:(+86)755-26018083    邮箱:cs@comtech.cn

© Copyright 2018 www.comtech.cn | 粤ICP备19161615号 | 粤公网安备 44030502003347号