Encounter RTL Compiler

品牌:Cadence
描述:Allows engineers to concurrently optimize timing, area, power, and signal integrity intent. Offers a
包装:
封装:
无铅情况/ROHS: 有铅
类别:逻辑设计
经营商:科通芯城自营


With its combination of breakthrough algorithms, efficient data structures, and modern programming techniques, Encounter RTL Compiler delivers the best speed, area, and power after physical implementation for the most challenging designs. New advanced, , global synthesis technology further improves these results while delivering even faster runtimes. At the core of Encounter RTL Compiler is a break¬through synthesis algorithm—global-focus mapping (GFM). This technique devotes more time to examining the overall solution space to deliver an optimized netlist for meeting your design intent goals throughout physical design.

Encounter RTL Compiler performs multi-objective optimization that simultaneously considers timing, power, and area intent to create logic structures that converge on all these goals in a single pass.

Features/Benefits
  • A well-balanced logic structure isolates critical paths, reduces power, area, and congestion in off-critical logic, and enables faster timing closure and design convergence through place-and-route
  • Spatial technology eliminates the need for wireload models by modeling physical interconnect at a higher level of abstraction for use in RTL-to-gate optimization
  • Encounter RTL Compiler with Physical incorporates Encounter Digital Implementation System silicon virtual prototyping technology into synthesis, providing real physical timing to logic optimi¬zation and analysis
  • Reduces power consumption through single-pass multi-Vt optimi¬zation, hierarchical and multi-stage clock gating, true top-down multi-supply voltage exploration and synthesis, and full power shutoff support with the Common Power Format (CPF)
  • Shrinks die sizes with multi-objective optimization, which creates smaller logic structures for non–timing-critical regions
  • Multi-mode synthesis optimization and analysis accelerates overall turnaround time to design closure for complex chips with multiple functional modes
  • Superthreading technology leads to superior runtimes, quicker turnaround times, and faster convergence on design goals
  • Superior capacity increases produc¬tivity by enabling chip-level synthesis and eliminating manual partitioning, budgeting, and reassembly
  • A built-in design quality analyzer identifies pre-synthesis design issues that may lead to sub-optimal or unintended results
  • Easy to adopt—uses standard inputs and outputs so that customers requiring improved quality of silicon (timing, area, and power after wires) can get en route quickly to achieving their design goals

文档(Document)

序号 PDF 描述
1 A Practical Guide to Deploying Assertions in RTL     A Practical Guide to Deploying Assertions in RTL
2 Archived webinar - How Logic Designers Can Avoid Congestion Nightmares     Archived webinar - How Logic Designers Can Avoid Congestion Nightmares
3 Cadence and NetEffect Success Story     Cadence and NetEffect Success Story
4 Eliminating Routing Congestion Issues with Logic Synthesis White Paper     Eliminating Routing Congestion Issues with Logic Synthesis White Paper
5 Encounter RTL Compiler Compiler Advanced Physical Option Datasheet     Encounter RTL Compiler Compiler Advanced Physical Option Datasheet
6 Encounter RTL Compiler Datasheet     Encounter RTL Compiler Datasheet
7 Global Synthesis for Design Closure White Paper     Global Synthesis for Design Closure White Paper
8 HW/SW Co-Simulation     HW/SW Co-Simulation
9 Learn to Optimize Your Low-Power Design Process     Learn to Optimize Your Low-Power Design Process
10 Low-Power Methodologies in a Multi-Core Networking Chip     Low-Power Methodologies in a Multi-Core Networking Chip
11 Practical Guide to Low-Power Design - User Experience with CPF     Practical Guide to Low-Power Design - User Experience with CPF
12 Predicting Physical Design Results Using Advanced Synthesis Features     Predicting Physical Design Results Using Advanced Synthesis Features
13 RTL Compiler Optimization on Full Chip Complex SoC design     RTL Compiler Optimization on Full Chip Complex SoC design
14 Scalable RTL in Design and Verification     Scalable RTL in Design and Verification
15 Speeding up HW/SW Co-Development using HW Emulation     Speeding up HW/SW Co-Development using HW Emulation
16 Technical University of Braunschweig and Cadence Success Story     Technical University of Braunschweig and Cadence Success Story
17 Timing closure on a 1GHz DSP-processor using RTL Compiler and SoC Encounter     Timing closure on a 1GHz DSP-processor using RTL Compiler and SoC Encounter
18 Using Configurable Memory Controller Design IP with Encounter RTL Compiler     Using Configurable Memory Controller Design IP with Encounter RTL Compiler
19 Verification of Low-Power Designs using CPF     Verification of Low-Power Designs using CPF
20 Verification of Low-Power Designs using CPF     Verification of Low-Power Designs using CPF

深圳市科通技术股份有限公司    客服电话:(+86)755-26018083    邮箱:cs@comtech.cn

© Copyright 2018 www.comtech.cn | 粤ICP备19161615号 | 粤公网安备 44030502003347号