Encounter Timing System

品牌:Cadence
描述:Serves both front-end logic designers looking for high-quality static timing analysis and ease of us
包装:
封装:
无铅情况/ROHS: 有铅
类别:逻辑设计
经营商:科通芯城自营


Encounter Timing System is a full-chip static timing analysis (STA) solution providing gate-level delay calculation, signoff-level timing and signal integrity (SI) analysis, statistical timing and leakage analysis, advanced on-chip variation analysis, and advanced node functionality required for double-patterning and waveform effects.

Encounter Timing System removes the iteration bottleneck by providing a consistent, integrated Common Timing Engine for both the design implementation stage and the final timing verification stage of the design cycle. The result is correlation and convergence between implementation and signoff for faster timing closure.

Benefits
  • Advanced timing solution with comprehensive analysis
    • Delay calculation
    • Static timing analysis
    • SI analysis
    • Statistical timing analysis
    • On-chip variation analysis
  • Integrated with EDI System
    • Consistent timing analysis during implementation throughout the flow and signoff at the end of the flow
    • Faster design convergence and timing closure with smaller design margin
    • Common database infrastructure for fast setup and a consistent usage model throughout the flow
  • Unmatched timing signoff accuracy
    • Prevents excessive over-design to exceed performance, power, and area targets
    • Delivers accurate base delay and SI delay calculation to within 2-5% of SPICE
    • Leverages current source models for greater accuracy on mainstream and advanced node designs
    • Offers built-in critical path simulation for delay and SI correlation with SPICE
  • Higher throughput for shorter design cycles and faster time to design signoff
    • End-to-end multi-threaded timing and SI analysis for faster signoff turnaround
    • Concurrent multi-mode/multi-corner (MMMC) timing and SI analysis for large view-count designs
    • Distributed multi-CPU and multithreaded processing for maximum hardware utilization
  • Higher productivity to shorten tapeout schedules by weeks
    • Industry-renowned global timing debug to accelerate root-cause and bottleneck analysis
    • MMMC-aware timing debug for quick timing issue identification across all views
    • MMMC signoff ECO optimization and repair across all timing views for fewer ECO cycles
  • Supported by major foundries, ASIC, and IP vendors, and used exclusively by multiple IDMs for signoff

文档(Document)

序号 PDF 描述
1 Cadence and NetEffect Success Story     Cadence and NetEffect Success Story
2 Cadence and Renesas Success Story     Cadence and Renesas Success Story
3 Cadence Encounter Digital IC Design Demo: Necessary and Absolute Signoff Analysis for 65/45nm Design     Cadence Encounter Digital IC Design Demo: Necessary and Absolute Signoff Analysis for 65/45nm Design
4 Cadence Encounter Digital IC Design Platform Brochure     Cadence Encounter Digital IC Design Platform Brochure
5 Common Platform Datasheet for Cadence 65nm Low-Power Reference Flow     Common Platform Datasheet for Cadence 65nm Low-Power Reference Flow
6 Designing Out DFM Issues at 65 nm     Designing Out DFM Issues at 65 nm
7 Encounter Timing System Datasheet     Encounter Timing System Datasheet
8 Fast and Accurate Statistical Cell Characterization with Spectre     Fast and Accurate Statistical Cell Characterization with Spectre
9 Interview: Freescale's Alex Albuerne uses Encounter Timing System to Overcome Timing Challenges     Interview: Freescale's Alex Albuerne uses Encounter Timing System to Overcome Timing Challenges
10 Interview: Making Reliable Models for SSTA     Interview: Making Reliable Models for SSTA
11 Validation and Debugging of Statistical Analysis - Key to Robustness of Cadence SSTA solution     Validation and Debugging of Statistical Analysis - Key to Robustness of Cadence SSTA solution

深圳市科通技术股份有限公司    客服电话:(+86)755-26018083    邮箱:cs@comtech.cn

© Copyright 2018 www.comtech.cn | 粤ICP备19161615号 | 粤公网安备 44030502003347号